Using ISE WebPack for the third times

Slides:



Advertisements
Presentasi serupa
PROGRAM APLIKASI BERBASIS DATA MICROSOFT ACCES
Advertisements

Membuat Antar Muka Dengan Glade
MENGOPERASIKAN PERIFERAL
CARA INSTALASI XAMPP.
PERTEMUAN VI Penggunaan Array.
Kode MK : MI Revisi Terakhir : Pertemuan1 FX. Henry Nugroho, S.T., M.Cs Adi Kusjani, S.T. GUI dan IDE 2013.
MODUL 6 : MENGOPERASIKAN PERANGKAT LUNAK PRESENTASI
Menggunakan Event dan Property
IT Pengantar komputer 1 & Praktikum** 2-SKS Peralatan Input.
Membuat Dan Mencetak Mail Merge
Report Pertemuan 4-7 TIB13 – Pemrograman Java 2 – 7.
Kode MK : MI Revisi Terakhir : Pertemuan 2 FX. Henry Nugroho, S.T., M.Cs Adi Kusjani, S.T. Penggunaan Komponen Dasar 2013.
Perancangan Basis Data
KONEKSI VB.Net 2008 ke SQL-Server 2005
Praktikum OOP Pengenalan Java Nana Ramadijanti Laboratorium Computer Vision Politeknik Elekltronika Negeri Surabaya PENS-ITS 2009.
Pengantar Komputer Dan Teknologi Informasi 1C
Packaging. Buatlah Folder “Package” Salin berkas *.exe dan report dari project anda.
Pertemuan-5 Mailmerge.
PEMROGRAMAN BEORIENTASI OBJEK
Klik kanan mouse pada file yang akan di extract Maka akan muncul kotak dialog, lalu pilih extract here Setelah itu tunggu proses extract selesai Setelah.
PERTEMUAN IX Penggunaan Array.
KEMAJUAN PROYEK.
KEMAJUAN PROYEK.
PEMROGRAMAN DATABASE KOMPONEN –KOMPONEN DATABASE
Matakuliah : Jaringan Komputer 2 Versi Materi FTP Penyaji
PENGANTAR TEKNOLOGI INFORMASI ( PTI ) pertemuan 9 Oleh : L1153 Halim Agung,S.Kom.
  Setelah anda selesai membuat Aplikasi,anda pilih add, new project lalu anda pilih “Other Project Types”.  Pilih “Setup and Deployment”,lalu klik.
Presented by : Herianto
Membuat Form Pertemuan 04
Untai kombinasional dan sekuensial
© 2003 Xilinx, Inc. All Rights Reserved For Academic Use Only Xilinx Design Flow FPGA Design Flow Workshop.
Switchboard Arif Basofi, S.Kom.
Membuat File Database & Tabel
Instroduksi Pemrograman Android
Materi TIK Kelas XII Semester Genap Membuat Dokumen Presentasi
Cara Install XAMPP di Windows.
Penggunaan split poligon, dan vertex edit
Cara membuat Shortcut dan mem Paket Project
Riset Grup Embedded and Digital Design
DASAR MENGUASAI NETBEANS
Maju, Cerdas dan Kompetitif
Peraturan mengenai tugas 2
Cara Instalasi MySQL Yog
Pertemuan 11 Dani Hamdani, S.Kom..
Tutorial Menggunakan VBB
Membuat Table Pertemuan 3
Membuat File Database & Tabel
Analisis Perancangan IC By : Gutama Indra Gandha
Pertemuan 12 Dani Hamdani, S.Kom..
Membuat File Database & Tabel
Pemrograman Perangkat Mobile
Menggunakan Event dan Property
82. Klik NEXT. 81. Maka akan muncul kotak REPORT WIZARD seperti pada gambar, lalu klik taanda double lebih besar ))
41. Klik DATABASE TOOLS, lalu Klik RELATIONSHIPS
Membuat Dokumen Mail Merge
MEMBUAT TABEL DI MICROSOFT ACCESS
MAIL MERGE Mail Merge adalah membuat surat secara missal. Mail Merge digunakan untuk membuat surat panggilan dan surat undangan, dengan nama dan alamat.
Peraturan mengenai tugas 3
OLEH : SONIA RUKMARDI SARI KELAS : X2
Unit Testing dan UI testing.
Layanan VOIP ( Voice Over Internet Protokol ) UPT-TEKKOMDIK
Menggunakan parameter pada ireport
Praktikum TEKNIK DIGITAL MODUL 2
Membuat Dokumen Mail Merge
LANGKAH-LANGKAH MEMBUAT DATABASE
Praktikum TEKNIK DIGITAL MODUL 1
Oleh : Rahmat Robi Waliyansyah, M.Kom.
Pemrograman GUI dengan Java
PERTEMUAN 6 PERANCANGAN LAPORAN KEUANGAN.
KONSEP TEKNOLOGI INFORMASI A
Transcript presentasi:

Using ISE WebPack for the third times Project #3 : 7-segmens PC controlled Embedded and Digital Design PENS-ITS 2014

Gambaran sistem Membuat dekoder seven-segmen, input digerakkan oleh PC LPT1’s PC d0 d1 d2 d3 7 segmen FPGA

Dekoder 7segmen Disain lebih mudah dibuat menggunakan VHDL behavioral FPGA

Alur disain

Nama, letak, dan top-level project Buat project baru, File  New Project

Source Pilih Project  New Source Isi nama dan tipe source (next) Definisi VHDL source (next) New Source Information (finish) Akan terbentuk file VHDL dan kerangkanya

Melengkapi source VHDL entity dekoder7s is end dekoder7s; architecture Behavioral of dekoder7s is begin end Behavioral; dekoder 7s s0 s1 s2 s3 s4 s5 s6 d0 d1 d2 d3 definisi port I/O: entity deskripsi fungsi sesungguhnya: architecture

Melengkapi source VHDL dekoder 7s entity dekoder7s is port( d : in std_logic_vector(3 downto 0); s : out std_logic_vector(6 downto 0) ); end dekoder7s; s0 s1 s2 s3 s4 s5 s6 d0 d1 d2 d3 definisi port I/O: entity

Melengkapi source VHDL dekoder 7s s0 s1 s2 s3 s4 s5 s6 d0 d1 d2 d3 deskripsi fungsi sesungguhnya: architecture

Check syntax

Synthesize

Simulate Pilih Project  New Source Isi nama dan pilih tipe (next) Hubungkan dengan source (next) New Source Information (finish) Initialize Timing (ok)

Simulate Klik input Klik Pattern Masukkan #cycles 16, klik OK Klik kanan pada akhir timing (slot ke-1500), pilih Set end of testbench Lakukan save Klik Simulate Behavioral Model Lihat pada jendela Wave – default Close ModelSim

Definisi pin Menghubungkan net ke pin sesungguhnya Pilih Project  New Source Isi nama dan tipe (next) Dihubungkan dengan source (next) New Source Information (finish) Edit constraints (Text) Ketikkan

Implement, generate, download Lakukan Implement Design Lakukan Generate Programming File Siapkan peralatan Lakukan download

Test Jalankan aplikasi GXSPORT Cobalah untuk memberi sinyal input pada d3..d0 Telah sesuai disain ? Jika ya, katakan: berhasil… berhasil… berhasil… hore!!!

Selesai Project #3 : 7-segmens PC controlled Presented by Riset Grup Embedded and Digital Design PENS-ITS 2010