Presentasi sedang didownload. Silahkan tunggu

Presentasi sedang didownload. Silahkan tunggu

Using ISE WebPack for the third times

Presentasi serupa


Presentasi berjudul: "Using ISE WebPack for the third times"— Transcript presentasi:

1 Using ISE WebPack for the third times
Project #3 : 7-segmens PC controlled Embedded and Digital Design PENS-ITS 2014

2 Gambaran sistem Membuat dekoder seven-segmen, input digerakkan oleh PC
LPT1’s PC d0 d1 d2 d3 7 segmen FPGA

3 Dekoder 7segmen Disain lebih mudah dibuat menggunakan VHDL behavioral
FPGA

4 Alur disain

5 Nama, letak, dan top-level project
Buat project baru, File  New Project

6 Source Pilih Project  New Source Isi nama dan tipe source (next)
Definisi VHDL source (next) New Source Information (finish) Akan terbentuk file VHDL dan kerangkanya

7 Melengkapi source VHDL
entity dekoder7s is end dekoder7s; architecture Behavioral of dekoder7s is begin end Behavioral; dekoder 7s s0 s1 s2 s3 s4 s5 s6 d0 d1 d2 d3 definisi port I/O: entity deskripsi fungsi sesungguhnya: architecture

8 Melengkapi source VHDL
dekoder 7s entity dekoder7s is port( d : in std_logic_vector(3 downto 0); s : out std_logic_vector(6 downto 0) ); end dekoder7s; s0 s1 s2 s3 s4 s5 s6 d0 d1 d2 d3 definisi port I/O: entity

9 Melengkapi source VHDL
dekoder 7s s0 s1 s2 s3 s4 s5 s6 d0 d1 d2 d3 deskripsi fungsi sesungguhnya: architecture

10 Check syntax

11 Synthesize

12 Simulate Pilih Project  New Source Isi nama dan pilih tipe (next)
Hubungkan dengan source (next) New Source Information (finish) Initialize Timing (ok)

13 Simulate Klik input Klik Pattern Masukkan #cycles 16, klik OK
Klik kanan pada akhir timing (slot ke-1500), pilih Set end of testbench Lakukan save Klik Simulate Behavioral Model Lihat pada jendela Wave – default Close ModelSim

14 Definisi pin Menghubungkan net ke pin sesungguhnya
Pilih Project  New Source Isi nama dan tipe (next) Dihubungkan dengan source (next) New Source Information (finish) Edit constraints (Text) Ketikkan

15 Implement, generate, download
Lakukan Implement Design Lakukan Generate Programming File Siapkan peralatan Lakukan download

16 Test Jalankan aplikasi GXSPORT
Cobalah untuk memberi sinyal input pada d3..d0 Telah sesuai disain ? Jika ya, katakan: berhasil… berhasil… berhasil… hore!!!

17 Selesai Project #3 : 7-segmens PC controlled Presented by
Riset Grup Embedded and Digital Design PENS-ITS 2010


Download ppt "Using ISE WebPack for the third times"

Presentasi serupa


Iklan oleh Google