Presentasi sedang didownload. Silahkan tunggu

Presentasi sedang didownload. Silahkan tunggu

DISEMINASI PENELITIAN INSENTIF RISTEK PENGEMBANGAN ALGORITMA CODING, PERANGKAT LUNAK DAN PERANGKAT KERAS UNTUK PENGAMANAN DAN KOMPRESI DATA RAHASIA MULTIMEDIA.

Presentasi serupa


Presentasi berjudul: "DISEMINASI PENELITIAN INSENTIF RISTEK PENGEMBANGAN ALGORITMA CODING, PERANGKAT LUNAK DAN PERANGKAT KERAS UNTUK PENGAMANAN DAN KOMPRESI DATA RAHASIA MULTIMEDIA."— Transcript presentasi:

1 DISEMINASI PENELITIAN INSENTIF RISTEK PENGEMBANGAN ALGORITMA CODING, PERANGKAT LUNAK DAN PERANGKAT KERAS UNTUK PENGAMANAN DAN KOMPRESI DATA RAHASIA MULTIMEDIA (TEKS, AUDIO, CITRA DAN VIDEO) PENELITI : Sarifuddin Madenda (PROF., Dr.), Ernastuti (Dr.) , Edi Sukirman (Dr.) dan Lingga Hermanto (SSi., MMSi)

2 Isi Presentasi Pendahuluan - Latar Belakang Masalah
- Ide dan Masalah Penelitian - Tujuan dan Sasaran Pencapaian Rancangan Penelitian Pengembangan Perangkat Lunak - Optimalisasi algoritma kompresi citra JPEG - Pembuatan prototipe perangkat lunak kompresi dan pengamanan data rahasia multimedia Pengembangan Prangkat Keras - Implementasi algoritma QDCT ke dalam IC-FPGA - Pembuatan prototipe IC proses QDCT 5. Kesimpulan Insentif RISTEK, 20 Desember 2011

3 1. Pendahuluan : Latar Belakang Masalah
Vidéo conférence Volume data multimedia sangat besar Bandwidth jaringan terbatas dan mahal Delay waktu transmisi besar Insentif RISTEK, 20 Desember 2011

4 1. Pendahuluan : Latar Belakang Masalah
Pembagian blok citra Transformasi warna Proses DCT Kuantisasi RLE dan Huffman Coding Tabel Tabel coding Rekonstruksi blok citra invers DCT invers Invers Decoding Citra terkompres JPEG Citra asli Kompresi JPEG Rekonstruksi JPEG - Kompresi Citra JPEG / video MPEG: DCT : discrete cosine transform Enkripsi atau Coding File multimedia Dekripsi decoding Multimedia terenkripsi - Pengamanan Data/File : Insentif RISTEK, 20 Desember 2011

5 1. Pendahuluan : Latar Belakang Masalah
Kompresi citra standar JPEG: Citra I(RGB) Inisialisasi matriks DC Inisialisasi tabel coding Baca blok I(RGB) 8x8 pixel I(RGB) 8x8 pixel  I(YCbCr) DCT untuk Y, Cb, dan Cr Kuantisasi hasil DCT Coding hasil Kuantisasi Semua blok sudah dilakukan ? Bit stream hasil coding File Citra .jpg Y T DCx = F = DCT ÷ F* = Insentif RISTEK, 20 Desember 2011

6 Optimalisasi Kompresi dan Rekonstruksi Citra JPEG:
1. Pendahuluan : Ide Penelitian Optimalisasi Kompresi dan Rekonstruksi Citra JPEG: Pembagian blok citra Transformasi warna DCT Terkuantisasi Coding Citra asli Kompresi citra Citra terkompresi Rekonstruksi citra Rekonstruksi blok citra Transformasi warna invers QDC Terkuantisasi Invers Decoding Insentif RISTEK, 20 Desember 2011

7 Optimalisasi Kompresi dan Rekonstruksi Video MPEG:
1. Pendahuluan : Ide Penelitian Optimalisasi Kompresi dan Rekonstruksi Video MPEG: I B P Pembagian blok citra Transformasi warna DCT Terkuantisasi Coding Kompresi citra Video terkompresi Rekonstruksi citra Rekonstruksi blok citra Transformasi warna invers QDC Terkuantisasi Invers Decoding Insentif RISTEK, 20 Desember 2011

8 Rumusan Masalah Penelitian 1
1. Pendahuluan : Rumusan Masalah Penelitian 1 Bagaimana mengintegrasikan proses kuantisasi ke dalam rumus DCT sehingga dihasilkan satu rumus yaitu DCT-terkuantisasi (quantized discrete cosine transform atau QDCT). Bagaimana mengimplementasikan algoritma QDCT yang dapat mempercepat proses kompresi citra/video. Bagaimana mengembangkan algoritma coding yang lebih optimal untuk menghasilkan rasio dan kualitas kompresi yang lebih tinggi. Bagaimana mengembangkan satu perangkat lunak yang dapat melakukan kompresi data multimedia disertai dengan pengamanan yang lebih terjamin. Insentif RISTEK, 20 Desember 2011

9 1. Pendahuluan : Tujuan Penelitian 1
Mengembangkan satu persamaan DCT-terkuantisasi (QDCT) yang dapat menhitung DCT dan kuantisasi dalam satu proses. Mengembangkan algoritma dan source code QDCT. Mengembangkan algoritma coding yang lebih optimal Mengembangkan perangkat lunak kompresi data multimedia dan pengamanannya. Insentif RISTEK, 20 Desember 2011

10 2. Rancangan Penelitian : Kompresi dan pengamanan data rahasia multimedia
Insentif RISTEK, 20 Desember 2011

11 3. Pengembangan Perangkat Lunak
Optimalisasi Kompresi citra JPEG: Citra I(RGB) dimana Inisialisasi matriks DC Inisialisasi tabel coding Baca blok I(RGB) 8x8 pixel I(RGB) 8x8 pixel  I(YCbCr) QDCT untuk Y, Cb, dan Cr Coding hasil Kuantisasi Semua blok sudah dilakukan ? T Y Bit stream hasil coding QDCT F = File Citra .jpg Insentif RISTEK, 20 Desember 2011

12 Hasil Uji Coba : Rasio dan Kualitas Kompresi
5 10 15 20 25 30 35 40 43.85 42.77 42.11 41.62 41.12 40.74 40.45 39.6 Quality (dB) Compression Ratio Our algorithm JPEG (Photoshop) 2 4 6 8 10 12 14 16 18 20 43.12 41.13 39.66 38.66 37.87 37.09 36.23 Quality (dB) Compression Ratio JPEG (Photoshop) Our algorithm Insentif RISTEK, 20 Desember 2011

13 Hasil Uji Coba : Kecepatan Kompresi
No Citra Kapasitas (KB) Ukuran (pixel) Kecepatan proses (ms) DCT + Q QDCT Peningkatan (%) 1 Citra 1 558 529 x 360 57 48 15,79 2 Citra 2 564 486 x 383 44 35 20,46 3 Citra 3 584 460 x 434 84 74 11,91 4 Citra 4 729 576 x 432 75 59 21,33 5 Citra 5 432 x 576 77 61 20,78 6 Citra 6 768 512 x 512 155 136 12,28 7 Citra 7 219 192 12,33 8 Citra 8 949 720 x 450 129 110 14,73 9 Citra 9 1110 720 x 540 87 67 22.99 10 Citra 10 1310 679 x 677 144 125 13,19 11 Citra 11 2250 1024x768 242 189 21,90 Insentif RISTEK, 20 Desember 2011

14 Rumusan Masalah Penelitian 2 :
4. Pengembangan Perangkat Keras Rumusan Masalah Penelitian 2 : Jumlah operasi perkalian dan penjumlahan pada proses QDCT cukup besar. Apakah masih dapat diminimalkan? Bagaimana mentransformasikan algoritma QDCT (dari poin pertama) kedalam bentuk disain rangkaian elektronika. Bagaimana mengimplementasikan hasil disain rangkaian elektronik (pada poin kedua) kedalam IC FPGA. Insentif RISTEK, 20 Desember 2011

15 Tujuan Penelitian 2 : Membuat IC (perangkat keras) proses QDCT dengan
performence yang lebih baik IC ini dapat menjadi bagian dari komponen kamera foto atau kamera video digital yang banyak digunakan dalam perangkat keras TIK. Insentif RISTEK, 20 Desember 2011

16 Rancangan Penelitian 2 :
Analisis data elemen matriks QDC : QDC = Insentif RISTEK, 20 Desember 2011

17 Rancangan Penelitian 2 :
Minimalisasi jumlah perkalian dan penjumlahan dalam proses QDCT : Insentif RISTEK, 20 Desember 2011

18 Minimalisasi jumlah perkalian dan penjumlahan dalam proses QDCT :
(T. C. Chen, 1988), (B. G. Lee, 2002). Insentif RISTEK, 20 Desember 2011

19 Minimalisasi jumlah perkalian dan penjumlahan dalam proses QDCT :
y0 = Cq4*( z2 + z3), y4 = Cq4*( z2 – z3) y2 = (Cq2 – Cq6)* z0 + p y6 = p – ( (Cq2 + Cq6)*z1 ) dimana p = Cq6*( z0 + z1) y1 = d - e - h + k y3 = c - f - g + k y5 = b - f - h + k y7 = a -e - g + k dimana a=(–Cq1+ Cq3+ Cq5– Cq7)*j0; b=(Cq1+ Cq3– Cq5 +Cq7)* j1; c=(Cq1 + Cq3 + Cq5 – Cq7)*j2; d=(Cq1 + Cq3 – Cq5 – Cq7)* j3; e=(Cq3 - Cq7)*s0; f=(Cq1 + Cq3)*s1; g=(Cq3 + Cq5)*s2; h=(Cq3 - Cq5)*s3; k=Cq3*s4; i0=x(0)+x(7), i1=x(1)+x(6), i2=x(2)+x(5), i3=x(3)+x(4), j0=x(3)-x(4), j1=x(2)-x(5), j2=x(1)-x(6), j3=x(0)-x(7), z0=i0 – i3, z1=i1 – i2, z2=i0 + i3, z3=i1 + i2, z4=z2 - z3, z5=z2 + z3, z6=z0 + z1, s0=j0 + j3, s1=j1 + j2, s2=j0 + j2, s3=j1 + j3, dan s4=j0 + j1 + j2 + j3. Jumlah perkalian 64  14 (reduksi 78%) Jumlah penjumlahan/pengurangan 56  32 (reduksi 78%) Insentif RISTEK, 20 Desember 2011

20 Hasil Uji Coba : Kecepatan Kompresi
No Nama Citra Ukuran Citra Kecepatan proses kompresi (ms) DCT + Q QDCT FQDCT Peningkatan (%) 1 Image 1 529 x 360 57 48 37 15,79 2 Image 2 486 x 383 44 35 22 20,46 3 Image 3 460 x 434 84 74 62 11,91 4 Image 4 576 x 432 75 59 21,33 5 Image 5 432 x 576 77 61 45 20,78 6 Image 6 512 x 512 155 136 120 12,28 7 Image 7 219 192 184 12,33 8 Image 8 720 x 450 129 110 92 14,73 9 Image 9 720 x 540 87 67 41 22.99 10 Image 10 679 x 677 144 125 95 13,19 11 Image 11 1024x768 242 189 137 21,90 Insentif RISTEK, 20 Desember 2011

21 Rangkaian sinkronisasi dan pembagian blok 8 pixel dan pemrosesan awal
Z4 Z5 Z6 X1 X5 3 1 (x3-x4)+(x0-x7)+ (x2-x5)+(x1-x6) x3-x4 4 7 6 X7 (x3+x4)+ (x0+x7) (x3-x4)+ (x1-x6) 8 D Flip-flop (x0-x7) (x2-x5)+ 2 (x2+x5)+(x1+x6)+ (x3+x4)+(x0+x7) Counter 8 j3 Input X Shift Register X2 x0+x7 X3 X0 5 8 pixels X6 Clock X4 (x3+x4)+(x0+x7)- (x2+x5)-(x1+x6) z2 z1 j2 x2-x5 j1 x1-x6 x0-x7 j0 s2 s3 s1 s4 s0 x3+x4 (x0+x7)- (x3+x4) z0 x2+x5 x1+x6 (x1+x6)- (x2+x5) (x2+x5)+ (x1+x6) z3 (x1+x6)-(x2+x5)+ (x0+x7)-(x3+x4) - + Insentif RISTEK, 20 Desember 2011

22 Rangkaian proses penghitungan QDCT
per blok 8 pixel Cq4 Cq6 Cq2 - Cq6 Cq3 z5 z0 z1 z4 j0 j1 j2 j3 s0 s1 s2 s3 s4 Y0 z6 Cq2 + Cq6 Y2 p Y4 Y6 -Cq1 + Cq3+Cq5 – Cq7 Cq1 + Cq3 -Cq5 + Cq7 Cq1 + Cq3 +Cq5 – Cq7 Cq1 + Cq3 -Cq5 – Cq7 - Cq3+ Cq7 - Cq1 – Cq3 - Cq3 – Cq5 - Cq3+ Cq5 a Y1 b c d e f g h k + Y3 Y5 * Y7 Insentif RISTEK, 20 Desember 2011

23 FPGA :Field Programmable Gate Arrays
Interconnect I/O Blocks (IOBs) Configurable Logic Blocks (CLBs) Insentif RISTEK, 20 Desember 2011

24 Slice FPGA Insentif RISTEK, 20 Desember 2011

25 Disain dan Implementasi Rangkaian register matriks QDC kedalam IC FPGA
Bahasa VHDL (Very High Speed Integrated Circuit Hardware Description Language) library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Reg_QDC is Port ( Cf1 : out std_logic_vector(11 downto 0); Cf2 : out std_logic_vector(11 downto 0); Cf3 : out std_logic_vector(11 downto 0); Cf4 : out std_logic_vector(11 downto 0); Cf5 : out std_logic_vector(11 downto 0); Cf6 : out std_logic_vector(11 downto 0); Cf7 : out std_logic_vector(11 downto 0); Cf8 : out std_logic_vector(11 downto 0); Cf9 : out std_logic_vector(11 downto 0); Cf10 : out std_logic_vector(11 downto 0); Cf11 : out std_logic_vector(11 downto 0); Cf12 : out std_logic_vector(11 downto 0); Cf13 : out std_logic_vector(11 downto 0); LOADCoef : in STD_LOGIC); end Reg_QDC; architecture Behavioral of Reg_QDC is signal Coef1 : std_logic_vector(11 downto 0) := " "; signal Coef2: std_logic_vector(11 downto 0) := " "; signal Coef3 : std_logic_vector(11 downto 0) := " "; signal Coef4 : std_logic_vector(11 downto 0) := " "; signal Coef5 : std_logic_vector(11 downto 0) := " "; signal Coef6 : std_logic_vector(11 downto 0) := " "; signal Coef7 : std_logic_vector(11 downto 0) := " "; signal Coef8: std_logic_vector(11 downto 0) := " "; signal Coef9 : std_logic_vector(11 downto 0) := " "; signal regCoef10 : std_logic_vector(11 downto 0); signal regCoef11 : std_logic_vector(11 downto 0); signal regCoef12 : std_logic_vector(11 downto 0); signal regCoef13 : std_logic_vector(11 downto 0); begin coefDCT : process (LOADCoef, Coef1,Coef2,Coef3,Coef4,Coef5,Coef6,Coef7,Coef8,Coef9,Coef10,Coef11,Coef12,Coef13,regCoef1,regCoef2,regCoef3,regCoef4,regCoef5,regCoef6,regCoef7,regCoef8,regCoef9,regCoef10,regCoef11,regCoef12,regCoef13) if LOADCoef = '1' then regCoef1 <= Coef1; regCoef2 <= Coef2; regCoef3 <= Coef3; regCoef4 <= Coef4; regCoef5 <= Coef5; regCoef6 <= Coef6; regCoef7 <= Coef7; regCoef8 <= Coef8; regCoef9 <= Coef9; regCoef10 <= Coef10; regCoef11 <= Coef11; regCoef12 <= Coef12; regCoef13 <= Coef13; end if; end process; Cf1 <= regCoef1 ; Cf2 <= regCoef2 ; Cf3 <= regCoef3 ; Cf4 <= regCoef4 ; Cf5 <= regCoef5 ; Cf6 <= regCoef6 ; Cf7 <= regCoef7 ; Cf8 <= regCoef8 ; Cf9 <= regCoef9 ; Cf10 <= regCoef10 ; Cf11 <= regCoef11 ; Cf12 <= regCoef12 ; Cf13 <= regCoef13 ; end Behavioral; Insentif RISTEK, 20 Desember 2011

26 Disain dan Implementasi Rangkaian register matriks QDC kedalam IC FPGA
Insentif RISTEK, 20 Desember 2011

27 Disain dan Implementasi Rangkaian QDCT kedalam IC FPGA
Insentif RISTEK, 20 Desember 2011

28 Rangkuman penggunaan IC-FPGA hasil implementasi rangkaian QDCT
Insentif RISTEK, 20 Desember 2011


Download ppt "DISEMINASI PENELITIAN INSENTIF RISTEK PENGEMBANGAN ALGORITMA CODING, PERANGKAT LUNAK DAN PERANGKAT KERAS UNTUK PENGAMANAN DAN KOMPRESI DATA RAHASIA MULTIMEDIA."

Presentasi serupa


Iklan oleh Google