Praktikum TEKNIK DIGITAL MODUL 2

Slides:



Advertisements
Presentasi serupa
BAB IX PEMROGRAMAN BASIS DATA
Advertisements

Membuat Antar Muka Dengan Glade
Mengenal Bahasa C++ Pertemuan 1.
Membuat Template Web Website yang baik adalah website yang konsisten. Konsisten di sini berarti memiliki bentuk, layout dan navigasi yang sama antar satu.
MENGOPERASIKAN PERIFERAL
Langkah langkah Penyuntingan Video Klip
CARA INSTALASI XAMPP.
MODUL 6 : MENGOPERASIKAN PERANGKAT LUNAK PRESENTASI
Pengenalan PowerPoint 2007
Pemograman 1 Pertemuan 14.
CARA PENGGUNAAN QBASIC
Membuat Dan Mencetak Mail Merge
Digitasi Data Spasial Pertemuan 5.
Pengantar Komputer Dan Teknologi Informasi 1C
Pengantar Komputer Dan Teknologi Informasi 1C
Pengenalan Lingkungan Visual C++
Pertemuan-5 Mailmerge.
Klik kanan mouse pada file yang akan di extract Maka akan muncul kotak dialog, lalu pilih extract here Setelah itu tunggu proses extract selesai Setelah.
Fransiska Prihatini Sihotang, S. SI
Pengenalan Powerpoint
Penjelasan Umum Ms. Powerpoint
Penjelasan Umum Penjelasan Umum PowerBulder 9.0 Aplikasi PowerBulder 9.0 berisi komponen Yang mencakup objek window, menu, function dan kode PowerScript.
A. Mengenal Scanner Scanner yaitu alat yang dapat menghasilkan salinan sebuah image yang berupa gambar (objek) atau teks disimpan dalam sebuah file yang.
Mangapul Tambunan/GeoUI/2006
  Setelah anda selesai membuat Aplikasi,anda pilih add, new project lalu anda pilih “Other Project Types”.  Pilih “Setup and Deployment”,lalu klik.
Pengenalan Visual Studio 2008
MAIL MERGE Pertemuan 3 Dewi.
FORM.
BAB 4 SISTEM OPERASI KOMPUTER
1 Pencetakan Gambar Pertemuan 25 Matakuliah: R0364/Komputasi Desain Arsitektur I Tahun: 2006.
Membuat File Database & Tabel
Cara Install XAMPP di Windows.
Pengabdian Masyarakat HIMPAUDI Kecamatan Baturraden Maret 2016
Penggunaan split poligon, dan vertex edit
Merancang & Membuat Report
DASAR MENGUASAI NETBEANS
Memahami Ruang Lingkup Pemrograman
Memahami Ruang Lingkup Pemrograman
Membuat File Database & Tabel
Oleh : Firdaus. S.Kom, M.Kom
MEMULAI, MENGENAL DAN MEMBUAT DATABASE ACCESS
Using ISE WebPack for the third times
PERANGKAT LUNAK SISTEM
MENGOPERASIKAN PERIFERAL
Membuat File Database & Tabel
M5. MEMPASSWORD DUKUMEN EXCEL
82. Klik NEXT. 81. Maka akan muncul kotak REPORT WIZARD seperti pada gambar, lalu klik taanda double lebih besar ))
41. Klik DATABASE TOOLS, lalu Klik RELATIONSHIPS
Membuat Dokumen Mail Merge
OLEH : SONIA RUKMARDI SARI KELAS : X2
TUGAS CARA MEMBUAT DATABASE DENGAN PHPMYADMIN
Menggunakan parameter pada ireport
Petunjuk Pengerjaan Proyek Akhir Teknik Digital
Membuat Dokumen Mail Merge
LANGKAH AWAL MEMBUAT PROGRAM C DENGAN VISUAL C
LANGKAH-LANGKAH MEMBUAT DATABASE
C. Membuat Dokumen Mail Merge
Praktikum TEKNIK DIGITAL MODUL 1
CARA MEMBUAT DAN MENGEDIT VIDEO MENGGUNAKAN MOVIE MAKER
MENGOPERASIKAN PERIFERAL
PERTEMUAN 4 PERANCANGAN PROGRAM.
Pemrograman GUI dengan Java
Oleh : Firdaus. S.Kom, M.Kom
Memahami Ruang Lingkup Pemrograman
Oleh : Firdaus. S.Kom, M.Kom
ALGORITMA DAN BAHASA PEMROGRAMAN JAVA
Kegiatan Pembelajaran 2
Membuat Dokumen Mail Merge pada Ms. Word
KONSEP TEKNOLOGI INFORMASI A
MENGGUNAKAN SISTEM OPERASI ( OPERATING SYSTEM )
Transcript presentasi:

Praktikum TEKNIK DIGITAL MODUL 2 PENGENALAN DESAIN SISTEM DIGITAL BERBASIS VHDL MENGGUNAKAN ALTERA QUARTUS

New Project Buatlah satu folder baru yang khusus digunakan untuk praktikum hari ini. Bukalah program Altera Quartus II 12.0 Buatlah project baru File  New Project Wizard Arahkan working directory pada folder khusus yang telah dibuat tadi, tentukan nama project, Next Add file yang akan dimasukkan ke dalam project. Jika sudah ada skematik, add sekarang. Jika belum ada, biarkan saja. Next Pilih Device Family Cyclone II, Next Next, Finish

VHDL File for Full Adder New  VHDL File. Ketikkan sintaks Full Adder berikut. Simpan, dan set VHDL file tersebut sebagai Top Level Entity. Pada Project Navigator, buka window file (di sebelah kanan window hierarcy), pada file schematic yang telah dibuat, klik kanan lalu pilih “Set As Top Level Entity” Lakukan kompilasi Lakukan simulasi Buatlah laporan dari hasilnya, lakukan analisis, jelaskan sintaksnya

4-Bit Ripple Carry Adder (VHDL) New Project Buatlah VHDL File untuk 4-Bit Ripple Carry Adder seperti di samping Lakukan kompilasi Lakukan simulasi Buatlah laporan dari hasilnya, lakukan analisis, jelaskan sintaksnya

4-Bit Ripple Carry Adder (Schematic) New Project. Bukalah file schematic full adder yang pernah dibuat sebelumnya, lalu klik File  Create/Update  Create Symbol File for Current File Buatlah Schematic File baru untuk 4-Bit Ripple Carry Adder seperti di atas, Set file ini as Top Level Entity Lakukan kompilasi dan simulasi Buatlah laporan dari hasilnya, lakukan analisis, jelaskan

Last Question Which do you prefer, design digital systems by Schematic file or VHDL file? Why?

Compilation Pada Project Navigator, buka window file (di sebelah kanan window hierarcy), pada file schematic yang telah dibuat, klik kanan lalu pilih “Set As Top Level Entity” Processing  Start Compilation Jika ada error, lakukan perbaikan sesuai keterangan error pada jendela message paling bawah Kompilasi selesai jika muncul message “Full Compilation was successful”

Simulasi menggunakan QSim Buka command prompt, buka folder di mana terdapat Quartus II executable file (biasanya C:\altera\12\quartus\bin) dengan mengetikkan “cd C:\altera\12\quartus\bin” Ketikkan “quartus_sh --qsim” untuk membuka Qsim Buka project dengan File  Open Project dan pilih project file yang telah dibuat File  New Simulation Input File Pada Simulation Waveform Editor, Klik Edit  Insert  Insert Node or Bus, pada window selanjutnya klik Node Finder, pada window Node Finder klik List Klik tombol bertanda panah dua >> untuk memilih semua Node, Klik OK, OK

Simulasi menggunakan Qsim (2) Pada Simulation waveform editor, ubahlah nilai dari input dengan Overwrite Count Value Ubah-ubahlah rate counternya agar seluruh kemungkinan input muncul. File  Save As Pada window QSim, pilih Assign  Simulation Settings dan pilih file. Klik Functional Simulation. Klik OK. Klik tombol Start Simulation

Analisis Simulasi Hasil simulasi akan terlihat seperti ini : Perhatikan apakah output rangkaian sesuai dengan nilai input dan sesuai dengan fungsi FULL ADDER? Lakukan analisis dan buatlah laporan per kelompok dengan format bebas, dikumpulkan hari ini ke anbarsanti@yahoo.com paling lambat pukul 23:59